GHDL

  • このエントリーをはてなブックマークに追加

今日もまたメモメモ。
フリーのVHDLシミュレータ(コンパイラ)。論理合成はもちろんできない。

GHDL is a complete VHDL simulator, using the GCC technology.
(http://ghdl.free.fr/)

VCDファイルを出力することができるので、GTKWave等のビューワで波形を確認できる。
雑談だけど、これだと私が使ってるMacのOSXの上でも一通りできそうだよな。

(Visited 7 times, 1 visits today)
  • このエントリーをはてなブックマークに追加

SNSでもご購読できます。

コメントを残す

*