2010年 1月 の投稿一覧

最近読んでいる本

f:id:hideack:20100116111209p:image
スティーブ・ジョブズスタジオジブリ好きな人間なので、この様な本を読んでみた。

ピクサー・イメージ・コンピュータを作っていたときの話から、ディズニーへ買収されるまでの内容が詳しく書かれている。

2009年検索語ランキング

2008年までは上半期とかやっていたのだが、2009年はひとまとめで集計してみた。

1位 kcaptcha 99回
2位 processing 入門 84回
3位 timinganalyzer 71回
4位 shortcut.js 43回
5位 vhdl 乗算 41回

KCAPTCHAの記事は2007年に書いたのですが、今年もよく見ていただいている様でして。
検索語で見ると2009年に書いた記事で人は集めていないということに気づく。
それにしても、同一人物がてんでバラバラな技術テーマで書いているなぁ…。

ちなみに2009年で一番アクセスが多かったのは、

であった。

プログラム@マクドナルド

趣味プログラム中...。
部屋でプログラムを書こうと思ったのだけど寒すぎて指先が動かないのでマクドナルドに移動。*1
初マックカフェでカフェラテ注文。

*1:暖房が全く効かない…

符号ビットを頭に詰める話

続けてVHDLの話。
例えば符号付き4bitの値を符号付き8bitに広げる場合、

signal data : std_logic_vector(3 downto 0);
signal data2 : std_logic_vector(7 downto 0);
process(....)
variable tmp : std_logic_vector(7 downto 0);
begin
tmp := data(3) & data(3) & data(3) & data(3) & data;   # 変数代入
data2 <= tmp * tmp;  # 信号代入
-- (省略)
end process;

というのが、一案、詰めるビットが多い場合はこうも書けるなぁ。と。

process(...)
variable tmp : std_logic_vector(7 downto 0);
begin
if data(3) = '1' then
tmp := X"F" & data;
else
tmp := X"0" & data;
end if;
data2 <= tmp * tmp;
-- (省略)
end process;

見た目のわかりやすさもあるから、どちらがよいだろう。
詰める符号ビットの数が多い場合は下の書き方だろうなぁ。上の書き方だと見たまんま。というのもあるが。

奥が深い。

Xilinx ISE 9.x で_pn.exeというプロセスが滞る件

表題の通り。
全くもって謎なのだが、論理合成やISE Simulatorでシミュレーションを数回繰り返しているとISEが作る_pn.exeというプロセスが肥大化した後、ISEの操作が進まなくなる。*1
(アプリが固まるのではなくボタン押す操作やすでに開いているウインドウを閉じることはできる。)
ISEを一度終了して、タスクマネージャ等から_pn.exeが残っていないことを確認し、もし残っていれば強制的にプロセスを終了させる。
改めてISEを起動すると何事もなかった様に動かせるのだが。
一応確認しているのは、メニューのProject→Clean up Project Filesでまっさらな状況にしているとこの問題は起こらない。
ただ、これだとソースを数行修正しただけでも1からやり直しになるからなぁ。

うーん、XilinxのQ&Aサイト見ても特に見当たらない気が…。
情報求む。

*1:動いているのかもしれないが、処理が進捗している様に見えない

送信箱を振り返る

gmailの送信箱を読み返していたら20分過ぎていた。
一応個人的なメールしかないので、受信箱は通販の広告メールやら技術系のメーリングリストのメールやらいろいろあるのだが、送信箱は自分が書いて送ったメールだけなのでいろいろ思い出す。
年に数回、人の相談に乗って偉そうに回答していたりするのだが、いま読み返すとこれで回答としてよかったのやらと思ったりしてしまった。*1

他にも読み返すうちにいろいろ思い出してしまった。
携帯を機種変更して昔のメールが引き継げないことや、パソコンがクラッシュしてメールボックスが真っさらになってしまうのは、時々あった方がいいのかもしれない。*2
gmailではしばらく消えそうにない。

*1:まぁ、そのときはそれが最良の回答だと思って送っているのだから良いのだろう。多分。

*2:仕事のメールは困るが...

カレーライス

新年会ですっかり二日酔いなのでカレーライスを作った。
夕方まですっかり胃が参ってて水以外飲み食いできなかったのだけど、回復してきたしカレーなら大丈夫そうだったので。*1
スーパーでグリコの「二段熟カレー」を買ったのだが、箱の中のカレールーの形に感動。
f:id:hideack:20100109184840j:image
普通カレールーが8ブロック入っていて、4ブロックごと2つに分かれるのが一般なのかな。と思っていたのだけど、これはルーの1ブロック単位で切れ目がついていて細かく分けられる。
今までなんでなかったのだろう?とか、思ったのだけど自分が知らなかっただけなのかもしれない。

*1:スパイスの匂いがいいのだろうか?

槇原敬之のベストアルバムが買えない

iTunesで買おうとしたんだけど、購入のボタンが無い。試聴とかはできるんだけど。
googleで調べてみたけど、特に見つからなかったのだけど。
f:id:hideack:20100109180404p:image
iTunesの設定の問題なのか、ストア側の問題なのか切り分けできない。謎。

コマンドラインでJavaプログラムを実行する際のプロキシ指定

JavaでHTTPコネクション(net.HttpClientとか)を利用したコマンドラインプログラムを実行する際、実行する環境から外部サーバ等へのアクセスがHTTPの場合プロキシの設定が必要な場合がある。
プログラム中で設定してもよいのだけど、特に設定をしていなかった場合はjavaコマンドの引数でシステムプロパティを直接設定してやればよい。

  • システムプロパティ
    • プロキシサーバのホスト名設定 : http.proxyHost
    • プロキシサーバのポート番号 : http.proxyPort

たとえば、利用中のプロキシホスト名が"myproxy"でポート番号が8080でwebgetというクラスファイルを実行したい場合は、

$ java -Dhttp.proxyHost=myproxy -Dhttp.proxyPort=8080 webget

といった具合でよい。
あまりに久しぶりにJavaを触っていなかった*1ので最近リプレイスした仕事用PCにSDKが入っていなくてびっくりしてしまった。(親機の方には入っていたけど)

*1:Hadoopも動かすのにはJREでよいし、Hadoop Streamingで使っていたのでJavaプログラムそのものを触る必要がなかったのだ...

けふのひとこと

明けましておめでとうございました。
今日から通常営業です。